Bulldozer (microarchitecture)

Bulldozer is the codename Advanced Micro Devices (AMD) has given to one of the CPU cores based on the AMD family 15h microarchitecture, successor to the family 10 h (K10) microarchitecture for the company's M-SPACE design methodology, with the core specifically aimed at 10-watt to 125-watt TDP computing products. Bulldozer is designed from scratch, not a development of earlier processors.[1] AMD claims dramatic performance-per-watt efficiency improvements in high-performance computing (HPC) applications with Bulldozer cores. Processors with the Bulldozer core for desktop computers were released on October 12, 2011.

The Bulldozer cores support most of the instruction sets implemented by Intel processors available at its introduction (including SSE4.1, SSE4.2, AES, CLMUL, and AVX) as well as future instruction sets proposed by AMD (XOP and FMA4).[2][3]

Contents

Basic description

According to AMD, Bulldozer-based CPUs are based on GlobalFoundries' 32 nm Silicon on insulator (SOI) process technology and utilize a new approach to multithreaded computer performance that, according to press notes, "balances dedicated and shared computer resources to provide a highly compact, high core count design that is easily replicated on a chip for performance scaling."[4] In other words, by eliminating some of the redundancies that naturally creep into multicore designs, AMD hoped to take better advantage of its hardware capabilities, while using less power.

Bulldozer-based implementations built on 32nm SOI with HKMG arrived in October 2011 for both servers and desktops. The server segment included the dual chip 16-core Opteron processor codenamed Interlagos (for Socket G34) and single chip 4–8 core Valencia (for Socket C32), while the 4–8 core Zambezi targeted desktops on Socket AM3+.[5][6]

Bulldozer is the first major redesign of AMD’s processor architecture since 2003, when the firm launched its Athlon 64/Opteron (K8) processors, and also features two 128-bit FMA-capable FPUs which can be combined into one 256-bit FPU. This design is accompanied by two integer cores each with 4 pipelines (the fetch/decode stage is shared). Bulldozer will also introduce shared L2 cache in the new architecture. AMD calls this design a "Bulldozer module". A 16-core processor design would feature eight of these modules,[7] but the operating system will recognize each module as two physical cores.

The module, described as two cores, can be contrasted with a single Intel core with HyperThreading. The difference between the two approaches is that Bulldozer provides dedicated schedulers and integer units for each thread, whereas in Intel's core all threads must compete for available execution resources.

Architecture

Bulldozer Module

Instruction set extensions

Process technology and clock frequency

Cache and memory interface

I/O and socket interface

Processors

The first revenue shipments of Bulldozer-based Opteron processors was announced on September 7, 2011.[22] The FX-4100, FX-6100, FX-8120 and FX-8150 were released towards the end of 2011; AMD said that the remaining FX series AMD processors would be released at the end of the first quarter of 2012.

The expected Zambezi parts are summarized in the table below:

Model FX-8170 FX-8150 FX-8120 FX-8100 FX-6200 FX-6120 FX-6100 FX-4170 FX-4150 FX-4120 FX-4100
Code Name ZAMBEZI
Integer Cores / Modules 8/4 6/3 4/2
TDP 125W 125W/95W 125W 95W 125 W 95W
Normal Freq. 3.9 GHz 3.6 GHz 3.1 GHz 2.8 GHz 3.8 GHz 3.6 GHz 3.3 GHz 4.2 GHz 3.8 GHz 3.9 GHz 3.6 GHz
Full-Load Freq. (Turbo) 4.2 GHz 3.9 GHz 3.4 GHz 3.1 GHz 4.0 GHz 3.9 GHz 3.6 GHz 4.2 GHz 3.9 GHz 4.0 GHz 3.7 GHz
Half-Load Freq. (Turbo) 4.5 GHz 4.2 GHz 4.0 GHz 3.7 GHz 4.1 GHz 4.2 GHz 3.9 GHz 4.3 GHz 4.0 GHz 4.1 GHz 3.8 GHz
L2 Cache 8MB 6MB 4MB
L3 Cache 8MB
Memory DDR3 >1866 MHz
Unlocked Yes No Yes
Turbo Core 2.0 Yes
Socket AM3+
Process Technology 32nm HkmG SOI

Major Source : CPU-World [23]

AMD plans two series of Bulldozer based processors for servers: Opteron 4200 series (code named Valencia, with up to 8 cores) and Opteron 6200 series (code named Interlagos, with up to 16 cores).[24]

"FX" Release

On 12 October 2011, AMD released the first four FX-series processors of the Bulldozer line (FX-8150, FX-8120, FX-6100, FX-4100) and lifted their NDA on official reviews.[25]

The first Bulldozer CPUs were met with a mixed response. It was discovered that the FX-8150 performed poorly in benchmarks that were not highly threaded, falling behind the second-generation Intel Core i* series processors and being matched or even outperformed by AMD's own Phenom II X6 at lower clock speeds. In highly threaded benchmarks performance varied: the FX-8150 performed anywhere from on par with the Phenom II X6, to slightly better than the Intel Core i7 2600K, depending on the benchmark. Given the overall more consistent performance of the Intel Core i5 2500K at a lower price, these results left many reviewers underwhelmed. The i5 2500K also outperforms most of the previous generation of i7 CPUs, which the high-end Bulldozer CPUs are more comparable to. The processor was found to be extremely power-hungry under load, especially when overclocked, compared to Intel's Sandy Bridge.[26]

The Tom's Hardware website commented that the lower-than-expected performance in multi-threaded workloads may be because of the way Windows 7 currently schedules threads to the cores. They point out that "if Windows were able to utilize an FX-8150's four modules first, and then backfill each module's second core, it'd maximize performance with up to four threads running concurrently." This is similar to what happens on Intel CPUs with HyperThreading – Windows 7 "schedules to physical cores before utilizing logical (HyperThreaded) cores."[27]

Overclocking was found to improve performance, but increase power draw significantly.[28]

On 13 October, AMD stated on its blog that "there are some in our community who feel the product performance did not meet their expectations", but showed bencharmarks on actual applications where it outperformed "Sandy Bridge i7 2600k" and "AMD X6 1100T".[29]

Post-2011

2nd Generation

AMD Financial Analyst Day 2010[30] revealed the 2nd generation is scheduled for 2012; AMD referred to this generation as Enhanced Bulldozer. This later generation of Bulldozer core is codenamed Piledriver, and is intended for specific desktop and notebook markets:

At AMD Fusion Developer Summit (AFDS) 2011, AMD said that the computational capacity of the notebook variant of Trinity would be 50% faster than Llano.[35][36][37]

For the server market, two versions were known to be under development as of November 2011[38][39]:

3rd Generation

As of 2011 AMD mentioned (by name) a 3rd generation Bulldozer-based line for 2013.[38], with working title Next Generation Bulldozer, on the 22 nm FD-SOI manufacturing process.[40]

On 21 September 2011, leaked AMD slides indicated this 3rd generation of Bulldozer core was codenamed Steamroller[41][42] and would be incorporated into specific desktop and notebook markets:

For the server market, two versions were planned[43]:

4th Generation

On 12 October 2011, AMD revealed Excavator to be the codename for the 4th generation Bulldozer core, scheduled for 2014 release.[44]

Reported problems

Some websites have reported that there may be a BIOS issue with several motherboards based on the Socket AM3+ platform, causing the new AMD FX-series CPUs to downclock when the CPU exceeds its 125w thermal limit. AMD has been working closely with ASUS to try and rectify this thermal down-clocking issue. Other motherboard manufactures including but not limited to Gigabyte and MSI are also hard at work, trying to correct this issue by way of a modified BIOS.[45]

See also

References

  1. ^ http://www.techpowerup.com/138328/Bulldozer-50-Faster-than-Core-i7-and-Phenom-II.html
  2. ^ "AMD64 Architecture Programmer’s Manual Volume 6: 128-Bit and 256-Bit XOP, and FMA4 Instructions". AMD. May 1, 2009. http://support.amd.com/us/Processor_TechDocs/43479.pdf. Retrieved 2009-05-08. 
  3. ^ "Striking a balance". Dave Christie, AMD Developer blogs. May 7, 2009. http://forums.amd.com/devblog/blogpost.cfm?threadid=112934&catid=208. Retrieved 2009-05-08. 
  4. ^ "AMD Sets New Mark in x86 Innovation with First Detailed Disclosures of Two New Core Designs". AMD. August 24, 2011. pp. 1. http://www.amd.com/us/press-releases/pages/amd-x86-innovation-new-core-designs-2010aug24.aspx. Retrieved September 18, 2011. 
  5. ^ "Analyst Day 2009 Summary". AMD. November 11, 2009. http://www.amd.com/us/press-releases/Pages/amd-analyst-day-2009nov11.aspx. Retrieved 2009-11-14. 
  6. ^ Planet 3DNow! - Das Online-Magazin für den AMD-User
  7. ^ "Analyst Day 2009 Presentations". AMD. November 11, 2009. http://phx.corporate-ir.net/phoenix.zhtml?c=74093&p=irol-analystday. Retrieved 2009-11-14. 
  8. ^ "Bulldozer microarchitecture block". AnandTech. August 24, 2010. http://images.anandtech.com/reviews/cpu/amd/hotchips2010/bulldozeruarch.jpg. 
  9. ^ "Bulldozer module functional schematic". AMD. August 24, 2010. http://www.xbitlabs.com/images/news/2010-08/bulldozer_3_aug2010.png. 
  10. ^ More On Bulldozer
  11. ^ AMD Reveals Details About Bulldozer Microprocessors.
  12. ^ AMD's Bulldozer Microarchitecture
  13. ^ "Bulldozer design power efficiency". AMD. August 24, 2010. http://images.anandtech.com/reviews/cpu/amd/hotchips2010/bulldozerefficient.jpg. 
  14. ^ a b c Paper abstracts of the ISSCC 2011 conference
  15. ^ XOP and FMA4 Instruction set in SSE5
  16. ^ AMD Financial Analyst Day 2010, Server Platforms Presentation
  17. ^ AMD Roadmap
  18. ^ http://www.theregister.co.uk/2010/11/15/amd_bulldozer_opteron_rollout/page2.html
  19. ^ ASUS confirms AM3+ compatibility on AM3 boards
  20. ^ MSI confirms AM3+ compatibility on AM3 boards
  21. ^ AM3 processors will work in the AM3+ socket, but Bulldozer chips will not work in non-AM3+ motherboards
  22. ^ "AMD Ships First "Bulldozer" Processors". http://finance.yahoo.com/news/AMD-Ships-First-Bulldozer-iw-1483835751.html?x=0. 
  23. ^ http://www.cpu-world.com/CPUs/Bulldozer/index.html
  24. ^ "What Is Bulldozer?". http://blogs.amd.com/work/2010/08/02/what-is-bulldozer/. 
  25. ^ Unlock Your Record Setting AMD FX Series Processor Today
  26. ^ http://www.xbitlabs.com/articles/cpu/display/amd-fx-8150_13.html#sect0
  27. ^ http://www.tomshardware.com/reviews/fx-8150-zambezi-bulldozer-990fx,3043-3.html Tom's Hardware review"
  28. ^ http://www.xbitlabs.com/articles/cpu/display/amd-fx-8150_14.html#sect0
  29. ^ Our Take on AMD FX
  30. ^ AMD financial analyst day 2010 press kit
  31. ^ http://www.xbitlabs.com/news/cpu/display/20110906193303_AMD_Cancels_Next_Gen_Komodo_Processor_Corona_Platform_in_Favour_of_New_Chips.html
  32. ^ http://www.bit-tech.net/hardware/cpus/2011/06/14/amd-reveals-2012-roadmap/1
  33. ^ http://mb.zol.com.cn/240/2405453.html
  34. ^ http://www.donanimhaber.com/islemci/haberleri/AMDnin-2012-icin-planladigi-yeni-nesil-Fusion-platformlari-detaylandi.htm
  35. ^ http://www.xbitlabs.com/news/cpu/display/20110614211754_AMD_s_Trinity_to_Be_at_Least_50_Faster_than_Llano_Company.html
  36. ^ http://www.hardware.fr/news/11647/afds-50-trinity-10-tflops-2020.html
  37. ^ http://www.brightsideofnews.com/news/2011/6/15/amd-demonstrates-trinity2c-promises-10tflops-apu-by-2020.aspx
  38. ^ a b http://www.xbitlabs.com/news/cpu/display/20101109113213_AMD_Plans_to_Release_Twenty_Core_Microprocessor_in_2012.html
  39. ^ http://blogs.amd.com/work/fadcodenames/
  40. ^ http://www.eetimes.com/design/eda-design/4217997/The-next-transistor--planar--fins--and-SoI-at-22nm
  41. ^ http://prohardver.hu/hir/amd_hosszutavu_mobil_utiterv.html
  42. ^ http://www.xtremehardware.it/news/hardware/nuove-roadmap-amd-sulle-future-apu-in-programma-nel-2012-e-nel-2013-per-il-mercato-mobile-201109215761/
  43. ^ http://www.inpai.com.cn/doc/hard/154678.htm
  44. ^ http://www.anandtech.com/show/4955/the-bulldozer-review-amd-fx8150-tested
  45. ^ BiosBug1

External links